人才队伍
曾璇
曾璇 发表时间:2014-10-20 阅读次数:7650次

博士、教授、博导
 


 简介:

  • 曾璇,博士、复旦大学微电子学院教授、博导、专用集成电路与系统国家重点实验室主任。主要从事集成电路设计和计算机辅助设计方向的研究。承担了国家十一五科技重大专项、国家自然科学基金重点项目、面上项目等10多项课题,在纳米尺度集成电路设计方法学、可制造性设计、FPGA器件及软件系统等方面开展了研究工作,在IEEE Trans. CAS、IEEE Trans. CAD、DAC、ICCAD等高水平国际期刊和会议上发表论文100余篇。国际会议邀请报告7次。获得授权国家发明专利11项。获得省部级奖4项。

 简历:

  • 1997年获复旦大学电子工程系半导体物理与半导体器件物理学博士学位
  • 1997-1991年复旦大学电子工程系讲师、副教授
  • 2001-2003年晋升为复旦大学微电子系教授和博士生导师
  • 1998.7-1999.1为美国北卡大学电机系访问科学家
  • 2000.7--2000.10 为美国德州大学达拉斯分校访问学者
  • 2002.9-2002.11为美国德州A&M大学电机系客座教授
  • 2003.9-2003.11为荷兰TuDelft大学微电子系客座教授

 学术任职:

  • 国家信息产业部十一五和2020中长期规划集成电路领域专家
  • 国家自然科学基金会审专家
  • 《计算机辅助设计与图形学学报》编委
  • 《半导体技术》第二届理事会副理事长
  • 上海市学位委员会第四届学科评议组成员
  • IEEE ASP-DAC’2014和ASP-DAC’2013 、ASP-DAC’2005、ASICON2003等本领域若干系列国际会议分委会主席和技术委员会委员。IEEE APCCAS2006 Special Session 主席
  • 《IEEE Trans.on CAS》、《IEEE Trans.on CAD》、IEEE ISCAS、IEEE DAC、IEEE ASP-DAC审稿人
  • IEEE及CAS分会会员

 获奖:

 奖励荣誉:

  • 2011年国家杰出青年基金获得者
  • 2013年上海市优秀学科带头人
  • 2009年享受政府特殊津贴专家
  • 2009年新中国成立以来上海百位优秀女教师
  • 2008年“全国三八红旗手”
  • 2007年“上海领军人才”
  • 2006年“上海市三八红旗手标兵”
  • 2003年上海市第二届“IT青年十大新锐”
  • 2002年教育部“跨世纪优秀人才培养计划”基金获得者
  • 2002年教育部首批“高等学校骨干教师资助计划”优秀骨干教师

  研究领域:

  1. 集成电路设计自动化:
  • 模拟电路设计自动化:包括电路模拟,模拟电路的行为级建模及模拟,模拟电路版图设计自动化
  • 高速互连电路分析和综合,包括互连线参数提取、互连线模型降阶、高速时钟设计
  • 可制造性设计研究,包括工艺偏差下的电路模拟、统计静态时序分析、带参数模型降阶算法;光刻仿真、铜互连工艺中的电镀及化学机械抛光工艺建模与仿真
  • 并行EDA算法研究:基于多核、集群系统、GPU的多平台并行EDA算法研究
  1. 模拟电路设计、数字电路设计

 讲授课程:

  • 计算机软件基础(本科课程)
  • 模拟集成电路CAD技术 (博士课程)
  • 现代集成电路分析方法(硕士课程)

 研究项目:
    主持承担了国家科技重大专项、国家863项目、国家自然科学基金重点项目、国家自然科学基金海外学者合作研究基金、高等学校博士点基金、上海市等项目多项。      
      国家科技重大专项核心电子器件、高端通用芯片及基础软件3项
      国家科技重大专项极大规模集成电路制造技术及成套工艺1项      
      国家863计划项目3项
      国家自然科学基金SoC重大研究计划的重点项目1项
      国家重点基础研究发展973计划项目2项
      国家自然科学基金项目6项
      国家自然科学基金海外学者合作研究基金项目2项


 发表论文:
曾璇教授发表论文近100篇,近年来发表的主要论文如下:
期刊论文:
    [1] Xiaodong Liu, Gary Yeap, Jun Tao, and Xuan Zeng, An Integrated Algorithm for 3D-IC TSV Assignment, IEEE Transactions on Very Large Scale Integrated Circuits and Systems, 2013.
    [2] Guanming Huang, Liuxi Qian, Siwat Saibua, Dian Zhou, and Xuan Zeng, “An Efficient Optimization Based Method to Evaluate the DRV of SRAM cells”, to appear in IEEE Transactions on Circuits and Systems (I), 2013.
    [3] Wei Li, Dian Zhou, Minghua Li, Binh P. Nguyen, and Xuan Zeng, “NFC Transceiver System Modeling and Analysis Using SystemC/SystemC-AMS with the Consideration of Noise Issues”, to appear in IEEE Transactions on Very Large Scale Integration Systems, 2013.
    [4] Changhao Yan, Wei Cai and Xuan Zeng, A Parallel Method For Solving Laplace Equations With Dirichlet Data Using Local Boundary Integral Equations And Random Walks. SIAM Journal on Scientific Computing, 2013.
    [5] Genlong Chen; Hengliang Zhu; Tao Cui; Zhiming Chen; Xuan Zeng; Wei Cai, “ParAFEMCap: A Parallel Adaptive Finite-Element Method for 3-D VLSI Interconnect Capacitance Extraction,” IEEE Trans. on Microwave Theory and Techniques, 60(2), pp. 218-231, 2012
    [6] Chunyang Feng, Hai Zhou, Changhao Yan, Jun Tao, and Xuan Zeng(曾璇), Efficient Approximation Algorithms for CMP Dummy Fill,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011.
    [7] Min Gong, Hai Zhou, Li Li, Jun Tao, and Xuan Zeng(曾璇), Binning Optimization for Transparently-Latched Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011.
    [8] Ke Zong; Fan Yang; Xuan Zeng(曾璇) , "A Wavelet-Collocation-Based Trajectory Piecewise-Linear Algorithm for Time-Domain Model-Order Reduction of Nonlinear Circuits",IEEE Transactions on Circuits and Systems I: Regular Paper , vol.57, no.11, pp.2981-2990, Nov. 2010.
    [9] Yinghai Lu; Hai Zhou; Li Shang; Xuan Zeng(曾璇) , "Multicore Parallelization of Min-Cost Flow for CAD Applications",IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, no.10, pp.1546-1557, Oct. 2010.
    [10] Ming-e Jing, Hao Yue, Dian Zhou, Xuan Zeng,“A Novel Optimization Method for Parametric Yield:Uniform Design Mapping Distance Algorithm”, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, vol.26, issue 6, pp1149-1155, June, 2007.
    [11] Y.-T. Li, Z. Bai, Y. Su and X. Zeng(曾璇), Model Order Reduction of Parameterized Interconnect Networks via A Two-Directional Arnoldi Process. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27 (9), pp.1571--pp1582. 2008. 
    [12] Xin Li, Xuan Zeng(曾璇), Dian Zhou,Xieting Ling and Wei Cai,“Behavioral Modeling for Analog System-Level Simulation by Wavelet Collocation Method”, IEEE Transactions on Circuits and Systems, Part II, vol.50, no. 6, pp. 299-314, June, 2003. 
[13] Xin Li, Bo Hu, Xieting Ling and Xuan Zeng (曾璇) ,“A Wavelet Balance Approach for Steady-State Analysis of Nonlinear Circuits”, IEEE Transactions on Circuits and Systems, Part I, vol. 49, no.5, pp.689-694, May, 2002. 
    [14] Chunyang Feng, ChanghaoYan, Jun Tao, Wei Cai, Xuan Zeng, “A Contact Mechanics Based Model for General Rough Pads in Chemical Mechanical Polishing Process”, Journal of The Electrochemical Society , Vol.156, Iss.7, pp. H601-H611, May 2009. (SCI) , Published online URL:http://link.aip.org/link/?JES/156/H601, DOI: 10.1149/1.3133238) 
    [15] Ke Zong, Xuan Zeng,Wei Cai, “Highly Parallel Rigorous Simulation of Phase Shift Masks with a Generalized Eigen-oscillation Spectral Element Method (GeSEM)”, Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3) ,accepted 2009. 
    [16] Xuan Zeng(曾璇), Fan Yang, Yangfeng Su and Wei Cai, “NHAR: A non-homogeneous Arnoldi method for fast simulation of RCL circuits with a large number of ports”, International Journal of Circuit Theory and Applications, vol.3, No.2, pp. 376-396, Feb.,2009. (SCI) , Published online in Wiley InterScience (www.interscience.wiley.com). DOI: 10.1002/cta.611
    [17] Fan Yang, Xuan Zeng(曾璇), Yangfeng Su and Dian Zhou, “RLC Equivalent Circuit Synthesis Method for Structure Preserved Reduced-order Model of Interconnect in VLSI”, Communications in Computational Physics, vol.3, No.2, pp. 376-396, Feb., 2008.
    [18] Xiaoda Pan, Hengliang Zhu, Fan Yang and Xuan Zeng, Subspace Trajectory Piecewise-Linear Model Order Reduction for Nonlinear Circuits, Commun. Comput. Phys, 2013. 
会议论文:
    [1] Ye Zhang, Wai-Shing Luk, Hai Zhouy, Changhao Yan, Xuan Zeng, Layout Decomposition with Pairwise Coloring for Multiple Patterning Lithography, EEE/ACM International Conference on Computer Aided Design (ICCAD’2013).
    [2] Yangfeng Su, Fan Yang and Xuan Zeng, AMOR: An Efficient Aggregating Based Model Order Reduction Method for Many-Terminal Interconnect Circuits, IEEE/ACM Design Automation Conference (DAC), 2012.
    [3] Jing Guo, Fan Yang, Subarna Sinha, Charles Chiang and Xuan Zeng, Improved Tangent Space Based Distance Metric for Accurate Lithographic Hotspot Classification, IEEE/ACM Design Automation Conference (DAC), 2012
    [4] Changhao Yan, Sheng-Guo Wang and Xuan Zeng(曾璇), A New Method for Multiparameter Robust Stability Distribution Analysis of Linear Analog Circuits, IEEE/ACM International Conference on Computer Aided Design (ICCAD’2011), 2011. 
    [5] Liu, Xiaodong; Zhang, Yifan; Yeap, Gary and Xuan Zeng(曾璇), "An Integrated Algorithm for 3D-IC TSV Assignment",Proceedings of IEEE/ACM Design Automation Conference (DAC’2011).
    [6] Liu, Xiaodong; Zhang, Yifan; Yeap, Gary K.; Chu, Chunlei; Sun, Jian; Xuan Zeng(曾璇), "Global routing and track assignment for flip-chip designs",Proceedings of IEEE/ACM Design Automation Conference (DAC’2010), pp.90-93, 13-18 June 2010.
    [7] Xiaoda Pan; Fan Yang; Xuan Zeng(曾璇); Yangfeng Su, "An efficient transistor-level piecewise-linear macromodeling approach for model order reduction of nonlinear circuits",Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010, pp.1673-1676, 8-12 March 2010.
    [8] Min Gong, Hai Zhou, Jun Tao, Xuan Zeng, “Binning Optimization based on SSTA for Transparently-Latched Circuits”, IEEE/ACM International Conference on Computer Aided Design (ICCAD’2009), Nov., 2009. 
    [9] Chunyang Feng, Hai Zhou, ChanghaoYan, Jun Tao, Xuan Zeng, “Provably Good and Practically Efficient Algorithms for CMP Dummy Fill ”, Proceedings of 46th IEEE/ACM Design Automation Conference (DAC’2009), pp.539-544, Jul., 2009. 
    [10] Yinghai Lu, Li Shang, Hai Zhou, Hengliang Zhu, Fan Yang, Xuan Zeng, “Statistical Reliability Analysis Under Process Variation and Aging Effects”, Proceedings of 46th IEEE/ACM Design Automation Conference (DAC’2009), pp.514-519, Jul., 2009. 
    [11] Yinghai Lu, Hai Zhou, Li Shang, Xuan Zeng, “Multicore Parallel Min-Cost Flow Algorithm for CAD Applications”, Proceedings of 46th IEEE/ACM Design Automation Conference (DAC’2009), pp832-837, Jul., 2009. 
    [12] Yi Wang, Wai-Shing Luk, Xuan Zeng(曾璇), Jun Tao, Changhao Yan, Jiarong Tong, Wei Cai, Jia Ni, “Timing Yield Driven Clock Skew Scheduling Considering non-Gaussian Distributions of Critical Path Delays”, Design Automation Conference (DAC’2008), pp. 223-226, 2008. 
    [13] Yung-Ta Li and Zhaojun Bai and Yangfeng Su (苏仰锋)and Xuan Zeng(曾璇),“Parameterized Interconnect Macromodeling via a Two-Dimensional Arnoldi Process”,IEEE/ACM ICCAD, pp.868-873, 2007.
    [14] Yangfeng Su, Jian Wang, Xuan Zeng (曾璇), Zhaojun Bai, Charles Chiang, Dian Zhou, ”SAPOR: Second-Order Arnoldi Method for Passive Order Reduction of RCS Circuits”, IEEE/ACM International Conference on Computer Aided Design (ICCAD’2004), pp.74-79, Nov., 2004.
    [15] Hengliang Zhu, Xuan Zeng( 曾璇), Wei Cai, Jintao Xue, Dian Zhou, “A Sparse Grid based Spectral Stochastic Collocation Method for Variations-Aware Capacitance Extraction of Interconnects under Nanometer Process Technology”, IEEE/ACM DATE, pp. 1-6, 2007.
    [16] Xuan Zeng(曾璇), Lihong Feng,Yangfeng Su ,Wei Cai, Dian Zhou and Charles Chiang,“Time Domain Model Order Reduction by Wavelet”, pp. 21-26, March 6, IEEE/ACM Design Automation and Test in Europe (DATE’2006), 2006.
    [17] Lihong Feng, Xuan Zeng (曾璇), Charles Chiang, Dian Zhou and Qiang Fang “Direct Nonlinear Order Reduction with Variational Analysis”, IEEE/ACM Design Automation and Test in Europe (DATE’2004), pp1316-1321, Feb., 2004, Paris, France. 
    [18] Xin Zhou, Dian Zhou, Jin Liu, Ruiming Li, Xuan Zeng (曾璇) and Charles Chiang, "Steady-State Analysis of Nonlinear Circuits Using Discrete Singular Convolution Method", IEEE/ACM Design Automation and Test in Europe (DATE’2004), pp.1322-1326, Feb., 2004.


 国际合作情况:
    曾璇教授与多位国际知名教授开展合作研究。通过合作研究,取得了丰硕的研究成果。这些教授包括美国德州大学达拉斯分校周电教授、美国北卡罗纳大学夏洛特分校蔡伟教授、美国西北大学周海教授、美国卡内基梅隆大学李昕教授美国科罗拉多大学尚笠教授以及美国北卡罗纳大学夏洛特分校王生国教授。 


 与公司合作情况:
曾璇教授项目组与多家业界知名公司如SynopsysVerigySMIC及北京华大等多家公司开展了合作研究。


近年毕业学生去向:

  • 刘晓冬(2013年,博士):瑞银投资
  • 潘晓达(2013年,博士): Cadence
  • 郭倞(2013年,硕士):摩根士坦利
  • 孙泽武(2013年,硕士):IBM
  • 刘毅(2013年,硕士): 微软
  • 智艳令(2012年,博士): 微策略
  • 武鹏(2012年,博士): 微策略
  • 孙剑(2012年,博士): WorldQuant
  • 陈根龙(2012年,博士): 华为
  • 侯丽敏(2012年,硕士): 工商银行数据中心
  • 李亨(2012年,硕士): 上海Synopsys
  • 卢章疑(2012年,硕士): Opera管理咨询公司
  • 钱晨曦(2012年,硕士): 哥伦比亚大学金融工程
  • 宗可(2011年,博士): 上海Synopsys
  • 龚旻(2011年,博士): IBM
  • 桂智华(2011年,硕士): 上海Cadence
  • 陆瀛海(2010年,博士): 美国西北大学博士后,美国Synopsys
  • 冯春阳(2010年,博士): 上海Synopsys

 欢迎报考曾璇教授研究生,联系方式:
           复旦大学张江校区微电子学院
              +86 21 51355224
        xzeng@fudan.edu.cn

Copyright © 2014 上海市浦东新区张衡路825号微电子楼  邮编:201203

电话:021-51355200  E-mail:asic@fudan.edu.cn